[VScode] VScode에서 VerilogHDL 편집 환경 설정하기

VScode를 처음 사용하여 .v(VerilogHDL)을 읽을 때 다음과 같이 회색 글자로만 보이는 경험이 있을 겁니다. VScode에서 원활하게 VerilogHDL을 작성하기 위해 색깔과 Format을 맞춰주는 확장프로그램을 설치해 보겠습니다. VScode Extension VScode에서는 여러 개발환경을 위해 확장프로그램과 같은 Extension을 지원합니다. VSCode프로그램 내에서 [Ctrl] + [Shift] + [X]단축키를 누르고 검색을 통해 설치가 가능합니다. Verilog-HDL/SystemVerilog/Bluespec SystemVerilog 우선, 온통 회색뿐인 코드에 색깔을 입혀주는 … Read more